CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC0809 VHDL

搜索资源列表

  1. motorcontrol(vhdl).rar

    1下载:
  2. 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。,FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:6456
    • 提供者:dong
  1. ADC0809

    0下载:
  2. 模数转换器件ADC0809的详细中文资料,附VHDL语言编写的基于FPGA的ADC0809控制设计代码-ADC0809 ADC detailed pieces of information in Chinese, with VHDL language ADC0809 based control design of the FPGA code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:128857
    • 提供者:zll
  1. ADC0809

    0下载:
  2. 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:156509
    • 提供者:peter
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. ADC0809

    0下载:
  2. 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:46387
    • 提供者:杨晴飞
  1. ADC0809

    0下载:
  2. ADC0809 VHDL控制程序实现芯片的控制与数据读取-ADC0809 VHDL control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4231
    • 提供者:wang
  1. somethingaboutADC0809

    0下载:
  2. 8.4 ADC0809接口电路及程序设计 ADC0808/ADC0809资料; 基于VerilogHDL的ADC0809采样控制器设计; 基于VHDL语言的A_D采样控制器设计。 -8.4 ADC0809 interface circuit and program design ADC0808/ADC0809 information ADC0809 based on the sampling VerilogHDL controller design A_D languag
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:973335
    • 提供者:冯光
  1. ADC0809

    0下载:
  2. ADC0809,完整的功能描述,每0.5秒采样一次,并显示出来。外置ADC0809芯片,-ADC0809, a complete functional descr iption of each sampling time 0.5 seconds, and displayed. ADC0809 external chip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:82539
    • 提供者:heshuiming
  1. ADC0809

    0下载:
  2. VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1061
    • 提供者:袁野
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. adc0809dac0832control

    0下载:
  2. adc0809和dac的共同使用(verilog代码),虽然功能简单,但内容全面,新手原创,共同学习-adc0809 and dac common use (verilog code), although the function of simple, but comprehensive, new original, the common learning
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5952
    • 提供者:山哥
  1. ADC0809

    0下载:
  2. 完整ADC0809的时序,采用VHDL语言编写,在Altera cycloneI/II系列下的EP1C6\EP2C5\8平台下测试完成,稳定-ADC0809 Driver by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:406285
    • 提供者:ziyan
  1. ADC0809

    0下载:
  2. 用状态机描写的ADC0809的驱动程序,希望对于刚接触状态机的新手有所帮组-IT IS USEFUL.....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:350857
    • 提供者:tom
  1. ADC0809VHD

    0下载:
  2. 8.4 ADC0809 VHDL控制程序-8.4 ADC0809 VHDL control procedures
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:4210
    • 提供者:李传敏
  1. adc0809

    0下载:
  2. VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138625
    • 提供者:喻炜
  1. adc0809

    0下载:
  2. 基于ADC0809的采样控制电路的实现,虽然简单,但是代码写得规范,值得学习-ADC0809 based sampling control circuit implementation is simple, but the code is written specifications, it is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:290409
    • 提供者:Andy Lao
  1. ADC0809

    0下载:
  2. 用VHDL语言描述的有关于ADC0809的驱动程序-Described using VHDL, the driver on the ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:802
    • 提供者:李柏睿
  1. adc0809

    0下载:
  2. 利用FPGA控制ADC0809采样电压,并通过数码管显示电压数值-ADC0809 FPGA control by sampling the voltage and the voltage value through the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:844105
    • 提供者:雷旦
  1. ADC0809-VHDL

    0下载:
  2. 模数转换器ADC0809 VHDL控制程序,-ADC0809 VHDL
  3. 所属分类:source in ebook

    • 发布日期:2017-04-02
    • 文件大小:4286
    • 提供者:MOHAMAD
  1. ADC0809-VHDL-control-procedures

    0下载:
  2. ADC0809 VHDL控制程序源代码及仿真结果-ADC0809 VHDL source code of a program to control the simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4314
    • 提供者:吴云志
« 12 3 4 5 »
搜珍网 www.dssz.com